Partners develop 5nm silicon nanosheet transistors

According to IBM Research, it has been exploring nanosheet semiconductor technology for more than 10 years and this latest work is said to demonstrate the feasibility of designing and making stacked nanosheet devices with electrical properties superior to those based on FinFETs.

EUV lithography played a key role in the development, allowing the width of the nanosheets to be adjusted continuously. This permits the performance and power of specific circuits to be fine tuned – something not possible with FinFETs. Power savings available from this approach could also mean that batteries in smartphones and other mobile products could last two to three times longer than today’s devices, before needing to be charged.

According to the partners, when compared to leading edge 10nm technology, a 5nm nanosheet device can deliver a 40% performance enhancement at fixed power or consume 75% less power at matched performance.