Cymer reports major progress on EUV power source

SANTA CLARA, CA—Cymer Inc is expected to reveal Thursday (Feb 16) that it has made significant progress in the development of a power source for EUV (extreme ultraviolet) lithography over the past few months.

In a paper set for Thursday morning at the SPIE Advanced Lithography Conference, representatives from Cymer were set to detail significant improvements in source power, availability and dose stability for the company's laser-produced plasma EUV source.

Cymer was set to reveal it has demonstrated the capability for average power of roughly 50 watts at high duty cycle (80 percent) using a newer exposure technique that makes use of a pre-pulse on the company's HVM I source. Less than three months ago, the company was unable to demonstrate anything above 10 watts using the same equipment and technique.

Representatives from Cymer cautioned that the result was achieved in open-loop testing, minus the controls placed on systems in the field to improve stability, which typically reduce power by about 25 percent (though the company is working to implement the controls with less power loss). Cymer has not yet implemented these controls in the system using the pre-pulse technique. The pre-pulse conditions the target to cause it to more readily absorb the dose. While the improvement to 50W average power is significant, Cymer acknowledges that it still has a ways to go. The goal for putting EUV systems in the field with adequate throughput of 60 wafers per hour is 100W average power.

"It's a good step forward," said David Brandt, senior director of EUV marketing at Cymer and one of the authors of the paper to be presented Thursday.

The Cymer paper was also expected to report that the availability of the six HVM I sources currently in the field-the time that the sources were available for use as opposed to being serviced-improved to 65 percent in the fourth quarter of 2011, up from about 50 percent in the third quarter and the less than 40 percent reported at last year's SPIE conference.

Development of an adequate power source has proven the most cumbersome stumbling block to putting EUV lithography into volume semiconductor production. ASML NXE:3100 pre-production tools in the field have a throughput of less than 10 wafers per hour. Both ASML and Cymer have acknowledged that development of an adequate power source has been slower than expected, but ASML has pledged to ship production tools in the second half of this year with throughput of 60 wafers per hour by the end of this year.

Given the anxiety over the development of EUV power sources, Cymer representatives acknowledged that they weren't sure how warmly their progress would be received at SPIE. Brandt said he expected the progress on the availability to be applauded and that the progress on the average power would be welcomed by firms developing EUV processes, but that it's not yet adequate for commercial production.

Also Thursday, representatives from Xtreme Technologies GmbH and its parent company, Ushio Inc, a rival power source developer to Cymer, are expected to present the latest results from its laser-assisted discharge produced plasma source. The companies reported achieving an output of 15W at an intermediate focus point under a duty cycle of 100 percent at the 2011 International Symposia on Extreme Ultraviolet Lithography and Lithography Extensions in October.

Cymer is also working on HVM II, the successor to HVM I, on which the company has demonstrated 160W average power in open-loop testing at low duty cycle, using the pre-pulse technique. The company plans to begin integrating the first HVM II sources into ASML NXE:3300B scanners beginning in the second quarter.

"We've had the tools in the new hardware configuration for the past several months," Brandt said. "We've been learning to use them and shaking out the bugs."

This story was originally posted by EE Times.

News From EE Times

?