2012 chip market to grow 7% says analyst
Growth in the semiconductor market will accelerate in the second half of 2012 and achieve an annual percentage figure of 6 to 7%, according to Mali Venkatesan, research manager for semiconductors at I
NXP today: 'Practically a Chinese company'
For anyone who has known NXP Semiconductors since it was the Dutch giant Royal Philips' subsidiary, NXP today feels much transformed. Instead of pledging changes every quarter, NXP has grown into a co
Here comes silicene possible graphene replacement
Science researchers have reported the growth of a single layer of silicon on top of silver, in a hexagonal 2-D form of silicon similar to the graphene form of carbon.
Win a trip to the Indy 500
2012-04-28 04:00:20Win a trip to the Indy 500
Littelfuse is sponsoring the Speed2Design contest that enables its winners to attend the race, visit the pits, and talk engineer-to-engineer with crew members.
Nvidia BGI partner on cloud DNA sequencing service
The new cloud-based DNA sequencing service, dubbed "EasyGenomics," uses a hybrid computing system featuring both CPUs and Nvidia GPUs for added acceleration, which the firm claims can cut th
Adafruit announces prototyping Pi Plate kit for Raspberry Pi
Adafruit is adding its own special twist to the coveted $25 Raspberry Pi computer, announcing its own Prototyping Pi Plate Kit, to enable tinkerers to try their hands at homemade embedded projects.
IHS boosts 2012 chip market forecast
Market research firm IHS iSuppli has lifted its forecast for the 2012 semiconductor market, citing strong ongoing consumer demand for wireless products like cell phones and media tablets.
Standards effort seeks to put a charge in EV deployment
In a sign that the electric vehicle market is maturing, a key industry group has taken the first pass at an EV standards roadmap that will tackle technical issues such as developing an interoperable c
Broadcom aims to spread 100
2012-04-26 01:00:36Broadcom aims to spread 100
Broadcom has announced its fourth-generation Ethernet network processor, which it claims is the industry's first chip to use massive parallelism by virtue of its 64 packet-processing cores running at
Mentor's next
2012-04-25 22:00:35Mentor's next
EDA vendor Mentor Graphics has announced the availability of its next-generation hardware emulation system, Veloce2, promising twice the performance, twice the capacity, and four times productivity ga
Achronix reveals 22
2012-04-24 22:00:46Achronix reveals 22
Fabless FPGA vendor Achronix Semiconductor has announced details of its Speedster22i HD and HP product families, claimed to be the first FPGAs to be built on a 22-nm manufacturing process technology.
China mulls national CPU architecture spec
China government officials kicked off a program last month that aims to define a national processor architecture. If the initiative is successful, the processor could become a requirement for use in a
Ivy Bridge narrows AMD's graphics lead
AMD is said to be on the cusp of rolling out its next-generation CPUs, called Trinity. The chips are built in a 32nm process. AMD is not expected to field chips using the still scarce 28-nm process un
Pilot project to focus on bi
2012-04-24 03:00:30Pilot project to focus on bi
IBM has teamed with American Honda Motor and Pacific Gas and Electric Company on a new pilot project that will allow communication between electric vehicles (EVs) and the power grid.
IBM demos terahertz graphene photonics
Graphene has been courted as the miracle material of the future, because different formulations have been fabricated into conductors, semiconductors, and insulators. Now IBM has added photonic to the
Startup tips self
2012-04-20 02:00:29Startup tips self
StarChip, a developer of application-specific processors that was founded in 2009, has announced the development of the ARX 32-bit secure core for use in payment and identification markets. ARX is bas
Qualcomm engages other foundries amid 28
Fabless chip vendor Qualcomm Inc acknowledged Wednesday that it was turning to other foundry suppliers amid a shortage of 28-nm capacity at its longtime foundry partner, Taiwan Semiconductor Manufactu
DRAM foundry logic in race to use EUV says ASML
While ASML expects to ship 11 NXE:3300B EUV litho machines in 2012 and 2013 for process development and pilot production there are two contenders to drive the ramp of EUV production at the end of 2013
Photos: Discovery takes victory lap before landing at Smithsonian
Space shuttle Discovery ended its epic career with an around-the-Beltway tour of the nation's capital before landing at its final destination near Dulles International Airport.
TSMC's Chang: 'The worst is behind us' on 28
Morris Chang told an audience at TSMC's annual technology symposium that TSMC's 28-nm yields have from the beginning been in line with the firm's projections.
Research finds semiconductor derivative of graphene
The existence of the semiconducting derivative could help advance an era of carbon-based nanoscale electronics, the university said.
Google to bundle MIPS support with Android
Google is expected to boost its support in Android for cores from MIPS Technologies, giving the company a badly needed boost in the hot smartphone and tablet sector.
Indian tablet for education project in fresh controversy
The proposed low-cost tablet for educational purposes, "Aakash" (or sky) officially launched in October, has been mired in controversy from the start.
Research consortium claims solution for multi
Semiconductor Research Corp (SRC) claims to have solved the scaling problem for next-generation processors with up to 512 cores, by using hierarchical hardware coherence that remains transparent to ap
Ceva rolls next
2012-04-17 17:00:25Ceva rolls next
Ceva has announced its next-generation audio DSP processor architecture and a family of four designs based on it. The Ceva TeakLite-4 consumes up to 25% less die area and 30% less power than the previ
ARM launches processor pack for big
Processor and related intellectual property licensor ARM Holdings plc has expanded its range of processor optimization packs (POPs) available for 40-nm and 28-nm manufacturing processes from foundry T
Open source platform offered for photonics IC design
Ghent University and research center imec have launched IPKiss, an open source software platform for parametric design of photonic integrated components and circuits.
Amlogic offers dual
2012-04-13 01:00:21Amlogic offers dual
Fabless chip company Amlogic Inc has developed a family of dual-core SOCs based on the Cortex-A9 processor architecture licensed from ARM Holdings plc.
Analysts start Intel Ivy Bridge CPU teardown
UBM TechInsights started a teardown analysis of an Intel Ivy Bridge processor. The Ivy Bridge chips are the first to use Intel's 22-nm process technology with 3-D transistors.
Pure
2012-04-13 00:01:06Pure
The global pure-play semiconductor foundry business will grow 12 percent to $29.6 billion in 2012, up from $26.5 billion in 2011, according to market research company IHS.
IHS: Foxconn report a 'moment of reckoning' for electronics
An investigation by a labor practice watchdog group that revealed serious workers' issues at Foxconn could be a "moment of reckoning" for the electronics industry.
Apps ease test and measurement tasks on all levels
Testing has become a world of applications one can access and use from fixed and mobile devices to control the testing environment in both large enterprise settings and local engineering labs.
Who wants Elpida and why? Analysts weigh in
The auction for bankrupt Elpida Memory Inc is underway, with Micron Technology Inc, SK-Hynix Inc, and a joint bid from a Chinese investment firm and a US private equity firm reportedly the remaining b
EDA tool aims at 10
2012-04-11 01:03:11EDA tool aims at 10
One of the few tools capable of modeling the ultra-compact structures of FinFETs and other 3-D transistor structures is SEMulator3D, which was originally created by EDA software house Conventor for ME
Arbitrator orders ST to pay NXP $59 million
STMicroelectronics has been ordered to pay about $59 million to NXP Semiconductors by an arbitration tribunal to settle a dispute over charges for wafers NXP supplied to ST's wireless joint venture in
Altera's OpenCL for FPGAs dramatically reduces development times
goHDR says it has achieved a significant reduction in development time and a dramatic increase in performance leveraging Altera's OpenCL for FPGAs.
TSMC begins building next phase of Fab 14 gigafab
Leading foundry Taiwan Semiconductor Manufacturing Co Ltd has held a groundbreaking ceremony for the fifth phase of its Fab 14 gigafab at the South Taiwan Science Park in Tainan, Taiwan.
Making the machines that make solar cells
Twin Creeks is betting there's still a business in making the machines that make solar cells. By adding value in the manufacturing process, company executives claim, the third-generation Hyperion wafe
Digi International Wind River cooperate on cloud
Digi International and Wind River will jointly deliver a new family of cloud-connected wireless machine-to-machine (M2M) solutions, the M2M Solution Builder kits, including a combination of hardware,
IHS: Counterfeit parts represent $169B annual risk
The five most commonly counterfeited semiconductor types are analog ICs, microprocessors, memory ICs, programmable logic devices, and transistors, all of which are commonly used in commercial and mili
National security threat: hacking the smart grid
Speaking at DESIGN WEST panel on hacking the smart grid, senior research engineer Joe Loomis blasted through the buzz on smart grid and smarter energy technology, exposing the risks of hacking and ful
Intel CEO gets $17 million pay in 2011
Otellini's basic salary increased 10% to $1.1 million in 2011. On top of that he received $7.3 million in stock, $1.8 million in stock options and $6.4 million in a non-equity incentive plan.
Chip security start
2012-04-05 02:01:47Chip security start
LONDON -- Intrinsic-ID NV, a vendor of hardware-derivedsecurity technology, has received 5 million euro (about $6.7 million) in around of funding led by Robert Bosch Venture Capital GmbH and joined by ...
Mosaid stacks 16 NAND die for solid
LONDON - Mosaid Technologies Inc, a licensor ofmemory-related semiconductor intellectual property, has announced that it isnow sampling a 16-die stacked NAND flash memory component with a memorycapaci ...
ARM security firms form joint venture for mobile
LONDON -- ARM, Gemalto and Giesecke & Devrient haveannounced the creation of a joint venture (JV) chartered with delivering secureenvironments in which to run services such as financial payments o ...
EU project to develop high efficiency silicon thin
PARIS -- German research center Forschungszentrum Jülichannounced it is coordinating a three-year project aimed at accelerating thedevelopment and prototyping of nanotechnology-based high-e ...
Chip sales slipped 1.3% in February says SIA
SAN FRANCISCO -- The three-month rolling average of globalsemiconductor sales fell to $22.9 billion in February, down 1.3% from January,according to the Semiconductor Industry Association (SIA) trade ...
Analog Devices buys clock technology specialist
LONDON - Mixed-signal and converter IC vendor Analog DevicesInc (Norwood, Mass) has announced that it has acquired Multigig Inc (San Jose),a developer of high frequency clock signal technology, for an ...
The next killer app: Machines that see
Do embedded processors shape applications, or is it theother way around?In reality, it works both ways.  This is particularly evident indigital-signal-processing-intensive applications, such as w ...
Analog Devices to launch dual
In a move to cash in on the embedded vision markets, Analog Devices, Inc is rolling out a family of 1-GHz, dual-core Blackfin processors integrated with a vision accelerator.
'Thermal cloak' to dissipate heat in electronics
A team of French researchers have found a way of isolating or cloaking objects from sources of heat by diffusing the heat around the objects.
Akustica develops two
2012-03-29 01:01:12Akustica develops two
Akustica is entering the mobile device market with its first analog microphone, a low-cost two-chip solution that it hopes will widen its market share.
Group demos power
2012-03-29 00:00:30Group demos power
A consortium will demonstrate a new protocol it says can slash thirty-fold power consumption of today's passive optical networks.
ACE Awards 2012: Celebrating excellence in engineering
The UBM Electronics ACE (Annual Creativity in Electronics) Awards combine EDN's Innovation Awards and EE Times' ACE Awards and are among the few chances for us to honor the best of the best individual
Judge stops sales of Kaleidescape systems
In a long-running legal battle, a California court upheld an injunction banning sales of a home server from Kaleidescape.
MEMS' new battleground: hardware
As more and more MEMS sensors are showing up in mobile devices, the focus of MEMS design has begun shifting from discrete MEMS components to MEMS sensor data integration.
Multi
2012-03-27 02:01:33Multi
The diverse demands of real-time process control, which must nevertheless offer a touch-enabled user-interface, is best addressed by heterogeneous multi-core processors, according to Freescale.
Hynix name change reflects SK investment
Memory chip manufacturer Hynix Semiconductor Inc is changing its name to SK Hynix Inc.
ST closes in on TI atop MEMS top 30 ranking
STMicroelectronics and Texas Instruments were the leading manufacturers of MEMS components in 2011 in a market that grew 17 percent to reach $10.2 billion, according to Yole Developpement.
IHS: Chip inventories to improve in Q1
Average days of inventory held by semiconductor suppliers is expected to decline by 0.5% in the first quarter after hitting an 11-year high in the fourth quarter of 2011, according to IHS iSuppli.
Nvidia rolls 28
2012-03-23 02:01:44Nvidia rolls 28
Nvidia Corp rolled out a new generation of desktop and notebook graphics chips, fueling the next round of neck-and-neck competition with archrival Advanced Micro Devices.
ST Micro expands MEMS line partakes in DESIGN West
STMicroelectronics has updated its iNemo family of inertial sensors with a combo of a 3-axis accelerometer, 3-axis gyroscope, and 3-axis magnetic sensor in a single package.
Teardown slideshow: Inside the third
The third generation of Apple's iPad media tabletfeatures a modified version of Apple's A5 processor, A5X, which has a larger floorplan to include quad-core graphics, according to UBM TechInsights.
US levies low tariffs on China solar panels
In what's seen as a slap on the wrist, the US Department of Commerce proposed duties of 2.9 to 4.73 percent on crystalline photovoltaic panels coming from China.
XMOS adds analog to processor for audio apps
Fabless chip company XMOS Ltd has added the XS1-S processor to its family of event-driven 32-bit embedded processors.
Despite challenges Renesas still dominant in MCUs
Japan's Renesas Electronics Corp remained the dominant supplier of microcontrollers in 2011, despite fallout from the Great East Japan Earthquake that struck last March.
NI co
2012-03-21 04:02:11NI co
UBM Electronics, the owner of EDN and EE Times, will award the Lifetime Achievement Award 2012 at its ACE Awards event during next week's DESIGN West conference.
Icon Labs secures Zilog devices with Zgate
Icon Labs and Zilog are making a splash at DESIGN West with Zgate, an embedded hardware communication solution that aims to protect connected devices from Internet-based threats.
ISSi licenses SRAM technology from IBM
Chip vendor ISSI said Tuesday (March 20) that it signed an agreement to license SRAM technology from IBM Corp. Specific terms of the agreement were not disclosed.
Dolby ready to blind San Jose science
Thomas Dolby, whose songs "She Blinded Me With Science" and "Hyperactive" became huge hits in the 1980s, will provide a keynote at the San Jose Civic Auditorium during DESIGN West.
Chip startup funding up 237% in February says GSA
It may not be that the tide has turned in semiconductor startup funding but February caught a big wave of venture capital money, according the Global Semiconductor Alliance (GSA) industry organization
Adapteva close to sampling 28
Adapteva, a small and lean fabless startup that has developed a series of multicore floating-point processors, claims its latest device, a 28-nm 64-core processor is close to sampling.
DESIGN West preview: Tesla CTO keynoting on EV innovation
JB Straubel, chief technical officer and a founding team member of Tesla Motors, will discuss the challenges of creating electric cars in a keynote speech at the DESIGN West conference.
NASA: Robot arm demos satellite repair
NASA said it has successfully demonstrated the ability to repair satellites in orbit using robotic tools.
Apple's iPad to dominate NAND use in tablets through 2015
Apple's iPad accounts for nearly 80 percent of the NAND flash memory used in media tablets and is expected to continue to dominate worldwide demand through at least 2015.
Group rolls open source Android benchmark
A new open source benchmark aims to give engineers and end users a way to measure the performance of Android-based systems.
Scientists develop automatic air navigation collision avoidance model
Researchers at the Department of Artificial Intelligence of the Polytechnic University of Madrid have developed an automatic air navigation and collision avoidance model using an automatic learning sy
Gartner ups 2012 chip forecast to 4% growth
Global semiconductor revenue is expected to increase by 4 percent to $316 billion in 2012, according to the latest forecast from market research firm Gartner Inc.
How to design a digital FM radio
There is a tiny plug-in device which, when attached to a phone or tablet, can bring FM radio service to mobile devices.
ARM Cortex
2012-03-14 10:45:29ARM Cortex
The ARM Cortex-M0+ processor is the latest addition to the ARM Cortex processor family and consumes 9µA/MHz on a 90nm LP process.
Xilinx and Micron demo interoperability of FPGA and RLDRAM 3 memory interface standard
The folks from Xilinx and Micron Technology have just announced the first public hardware demonstration of an FPGA interfacing with RLDRAM 3 memory.
Swedish spin
2012-03-13 02:02:37Swedish spin
Graphensic AB has been formed by researchers Professor Rositza Yakimova, Mikael Syvajarvi, and Tihomir Iakimov as a spin-off from the Linkoping University, to commercialize the formation of graphene o
US to begin testing future drones
The initial step in the process of overseeing introduction of unmanned aircraft in the nation's skies was announced this week by the Federal Aviation Administration, which is soliciting public comment
TI tips partnership to take OMAP into robotics
Texas Instruments has announced a partnership with iRobot Corp to develop robotics technology based on the TI OMAP application processors.
MaxLinear tips full
2012-03-10 01:01:29MaxLinear tips full
RF and mixed-signal chip vendor MaxLinear Inc has announced two 40-nm single-chip solutions for 16- and 24-channel digital cable front-end receivers based on the company's proprietary FSC technology.
MIT makes Hornet multicore simulator power aware
Researchers at the MIT have developed a software simulator, called Hornet, that they claim models the cycle-accurate performance of multicore chips and scales up to 1,000 of cores.
Video: NFC technology proliferation and challenges examined
Near Field Communication is a wireless connectivity technology that allows short-range radio communication between devices.
Apple steps up graphics with new iPad
Apple added LTE and upgraded the processor, display, and camera in its latest iPad. The new iPad uses a new Apple A5X applications processor, sporting two CPU and four graphics cores.
GM: We're not pulling plug on Chevy Volt
The temporary halt in the production of the Chevy Volt isn't a sign that the Volt is dead or that electric cars are disappearing.
Microsoft previews Windows Embedded 8
Microsoft has posted the community technology preview for its forthcoming Windows Embedded Standard 8 operating system.
Dialog licenses Cortex
2012-03-08 04:01:46Dialog licenses Cortex
Dialog Semiconductor plc has licensed the Cortex-M0 microcontroller core from ARM Holdings plc for use in future mixed-signal power management ICs.
TI Nvidia tied for second in tablet CPUs
Apple dominated the market for applications processors in tablets last year with Texas Instruments and Nvidia in a near tie for second place, according to a new market research report from Strategy An
Hard mask development taps use of nanocrystals
Pixelligent, a provider of nanocrystal additives, and Brewer Science are using their nanocrystal and microelectronic coating technologies to create a next-generation spin-on hard mask for semiconducto
IMEC offers 14
2012-03-07 03:00:25IMEC offers 14
The kit includes support for a number of technologies that are likely to be required at the 14-nm node including FinFET devices and extreme ultraviolet lithography.
Intel rolls first processor optimized for datacenters
Intel says it has designed its first processor built from the ground up for the "green" datacenters of the future, claiming a 70% increase in performance for the same energy consumption.
Apple bought half of ST's MEMS in 2011 says IHS
The total market for MEMS gyroscopes was $655.4 million in 2011, up 66% from $394.5 million in 2010 and exceeding accelerometer sales, according to IHS-iSuppli.
Cadence moves physical design software to 20 nm
Cadence Design Systems Inc has announced that the latest release of its Encounter software suite for RTL-to-GDSII design supports the 20-nm manufacturing process technology node.
AMD relinquishes stake in Globalfoundries
Advanced Micro Devices Ihas agreed to relinquish its remaining 14% stake in Globalfoundries and to pay Globalfoundries $425 million as part of an amended foundry supply agreement between the two firms
Innovative circuit protection devices at APEC 2012
Not until the introduction of the RTP200 family in 2011 has surface-mount reflowable thermal protection been available. The RTP140 series is the newest entry to these solutions introduced at APEC 2012
Generating power from salty water: Unique salt allows energy production to move inland
Production of energy from the difference between salt water and fresh water is most convenient near the oceans, but now, using an ammonium bicarbonate salt solution, researchers can combine bacterial